IBIS Macromodel Task Group Meeting date: 02 Oct 2012 Members (asterisk for those attending): Agilent: * Fangyi Rao * Radek Biernacki Altera: * David Banas Julia Liu Hazlina Ramly Andrew Joy Consulting: Andy Joy ANSYS: Samuel Mertens * Dan Dvorscak * Curtis Clark Steve Pytel Luis Armenta Arrow Electronics: Ian Dodd Cadence Design Systems: Terry Jernberg * Ambrish Varma Feras Al-Hawari Cavium Networks: Johann Nittmann Celsionix: Kellee Crisafulli Cisco Systems: Ashwin Vasudevan Syed Huq Ericsson: Anders Ekholm IBM: Greg Edlund Intel: * Michael Mirmak Maxim Integrated Products: Mahbubul Bari Mentor Graphics: * John Angulo Zhen Mu * Arpad Muranyi Vladimir Dmitriev-Zdorov Micron Technology: Randy Wolff Justin Butterfield NetLogic Microsystems: Ryan Couts Nokia-Siemens Networks: Eckhard Lenski QLogic Corp. James Zhou Sigrity: Brad Brim Kumar Keshavan Ken Willis SiSoft: * Walter Katz Todd Westerhoff Doug Burns * Mike LaBonte Snowbush IP: Marcus Van Ierssel ST Micro: Syed Sadeghi Teraspeed Consulting Group: Scott McMorrow * Bob Ross TI: Casey Morrison Alfred Chong Vitesse Semiconductor: Eric Sweetman Xilinx: Mustansir Fanaswalla Ray Anderson The meeting was led by Arpad Muranyi ------------------------------------------------------------------------ Opens: - Arpad: List emails seem to be delayed at least one hour -------------------------- Call for patent disclosure: - None ------------- Review of ARs: - None ------------- New Discussion: There was no interconnect task group meeting to report on BIRD 123: - Arpad: I sent am email on this topic - Arpad showed text from the email - Walter: I disagree because someone may know what their DCD is - They may want a separate Rj - DCD has a different spectrum from Rj - Fangyi: I agree with Walter - Walter showed BIRD 123.4 draft 3 - A sentence has been added to clarify Rx_Clock_Recovery_Dj - David: The user should be able to put all jitter in a single parameter if he chooses - Walter added a phrase to incorporate that possibility. - Radek: This does not add clarity - Fangyi: Can we say "one or more"? - Arpad: Why do we need this? - David: It will be unclear what to do with this parameter - Walter made further edits to the BIRD, moving some language to Other Notes - Bob: This could be in the notes section. - Fangyi: Model makers will have questions if their jitter is combined differently - The main rule is that we must not have any double counting - David: Three small sentences might be better than one long one. - Fangyi: What goes in may depend on whether clock times are available - David: I thought these separate parameters were all to be used for clock times - Walter continued editing along these lines - Fangyi: What about the non-Clock_Recovery jitters? - Ambrish: All three can be defined? - Walter: Yes, but they don't have to be - David: I sometimes set two of them to zero - Fangyi: The "Total ... is the sum" sentence is not clear enough. - More brainstorming on how to describe clock and non-clock jitter summation - Walter changed the format of jitter equations for clarity - Walter: I will make more edits and post this as draft 4 - Fangyi: What if Rx_Clock_PDF is specified together with this? - Bob: What does the spec say? - Walter: I will check on that AR: Walter post BIRD 123.4 draft 4 with updates BIRD 121: - Arpad: I found only one spelling mistake. - Walter showed BIRD 121.2 draft 4 - This becomes draft 5 now - Arpad: We will be able to vote on this next week AR: Walter post BIRD 121.2 draft 5 with updates BIRD 150: - Walter showed BIRD 150.1 draft 2 - Walter: I added an underscore to Column_Types - Arpad: Has this been reviewed to check for translation problems? - Mike: Side by side review seems like an individual task - Ambrish: Is there a list of editorial changes that will go into 5.2? - Michael M: We are open for suggestions - Walter: We could have an errata page to accumulate typographical errors - Ambrish: The Value Format change changes the meaning - Bob: Is Dependency_Table a reserved word? - Walter: It is a new Usage - Bob: Do the parameters have to be defined? - Walter: Yes it requires that - Arpad: Do we need a new Usage for parameters that only control the table? - We will review this again ------------- Next meeting: 09 Oct 2012 12:00pm PT Next agenda: 1) Task list item discussions ------------- IBIS Interconnect SPICE Wish List: 1) Simulator directives